Senin, 23 Januari 2012

Materi tentang FLIP-FLOP


SISTEM DIGITAL
FLIP-FLOP


Logo UMC FullColor
 







Disusun Oleh :


VIVI SULASTRI
090511072
NON REGULAR
SEMESTER V




PROGRAM STUDI TEKNIK INFORMATIKA
UNIVERSITAS MUHAMMADIYAH CIREBON


DAFTAR ISI

COVER ......................................................................................................................... 0
DAFTAR ISI.................................................................................................................. 1

BAB I PENDAHULUAN ............................................................................................ 2
1.1. Latar Belakang.................................................................................................... 2
1.2. Rumusan Masalah.............................................................................................. 4
1.3. Tujuan.................................................................................................................... 4
1.4. Manfaat.................................................................................................................. 4

BAB II PEMBAHASAN............................................................................................... 5
2.1.  Pengertian RS FLIP-FLOP............................................................................... 5
2.2.  Cara kerja RS FLIP-FLOP................................................................................ 12

BAB III PENUTUP...................................................................................................... 15
3.1.  Kesimpulan ........................................................................................................ 15
3.2.  Saran..................................................................................................................... 15

Daftar Pustaka




BAB I
PENDAHULUAN

1.1  Latar Belakang
            Dalam melakukan pelacakan ganguan/kerusakan ( troubleshooting ) sistem berbasis elektronika analog maupun digital, dibutuhkan satu atau beberapa alat ukur. Begitu banyak jenis alat ukur yang dapat digunakan untuk melacak gangguan/kerusakan. Masing-masing alat ukur memiliki fungsi dan manfaat  tertentu, yang tentunya memiliki teknik pengukuran yang berbeda antara satu dengan yang lainnya.
Ø  Multimeter
Multimeter berasal dari kata multi dan meter. Meter berarti adalah suatu alat  ukur, dan multi berarti merupakan beberapa kemampuan yang dimiliki oleh alat ukur tersebut. Multimeter merupakan gabungan alat ukur listrik
( amper meter ) baik arus listrik searah (direct current, dc ) maupun arus listrik bolak-balik (alternating current, ac), alat ukur tegangan listrik
(volt meter) baik dc maupun ac, serta alat ukur resistansi (ohm meter).
·         Multimeter Digital
Terdapat dua tipe multimeter digital, yaitu multimeter switched range dan multimeter autoranging. Pada multimeter switched range, dimana pemilihan batasan maksimum pengukuran tegangan/arus/hambatan dilakukan dengan memutar switch utama. Sehingga akan tersedia banyak posisi switch utama pada multimeter digital switched range. Sebagai contoh jika multimeter di switch pada arah 20 v DC, maka 20 V adalah tegangan maksimum yang dapat di ukur oleh multimeter tersebut. Batas maksimum ini dikenal dengan istilah fsd (full scale deflection).
·         Multimeter Analog
Multimeter analog memutar suatu jarum yang menunjukan suatu nilai tertentu sesuai dengan VΩmA yang diukur. Harga suatu multimeter analog tipe switched range cukup murah tetapi memiliki akurasi yang kurang, khususnya pada pengukuran resistansi. Jarum penunjuk multimeter analog sangat peka terhadap guncangan, sehingga sulit digunakan pada kondisi yang bergoyang (misalnya digunakan diatas kapal atau kereta api). Oleh karena itu multimeter analog akan seketika rusak bila terjatuh dari ketinggaian.
Masing-masing tipe multimeter memiliki kelebihannya sendiri. Apabila digunakan sebagai voltmeter, multimeter digital biasanya memberikan pengukuran yang lebih baik dibanding dengan multimeter analog. Hal ini disebabkan karena memiliki ressistensi dalam yang sangat besar, yaitu anara 1 M ohm hingga 10 M ohm. Sedangkan pada multimeter analog memiliki resistensi dalam hanya sebesar 200 K ohm. Namun pembacaan pada jarum multimeter analog memiliki keunggulan yaitu secara visual akan terlihat perubahan tegangan secara pelahan.
Digunakan sebagai pengukur arus (amper meter), multimeter analog memiliki hambatan dalam yang begitu rendah dan sensitivitasi yang sama atau bahkan lebih baik.

Di dalam teknik Digital kita mengenal adanya 2 (dua) istilah COUNTTER dan DECODER di mana istilah-istilah ini mempunyai peranan yang sangat penting.
COUNTER adalah rangkaian logika yang dapat menghitung jumlah pulsa yang masuk ke dalam bentuk bilangan Biner. Sedangkan DECODER adalah rangkaian logika yang digunakan untuk mengubah bilangan biner ke dalam bentuk bilangan desimal.
Rangkaian pembentuk counter dan register adalah FLIP-FLOP (Bistable Multivibrator). Salah satu rangkaian logika yang sangat bermanfaat adalah rangkaian sekuensial yang diinterkoneksikan untuk penyimpanan, pewaktu, perhitungan dan pengurutan. bentuk dasar dari rangkaian kombinasional berupa gerbang logika Bentuk dasar dari rangkaian logika sekuensial adalah rangkaian flip-flop yang dirangkai dari gerbang logika seperti AND dan NAND
Flip-flop merupakan suatu rangkaian  sekuensial yang dapat menyimpan data sementara (latch) dimana bagian outputnya akan me-respons input dengan cara mengunci nilai input yang diberikan atau mengingat  input tersebut. Flip-flop mempunyai dua kondisi output yang stabil dan saling berlawanan. Perubahan dari setiap keadaan output  dapat terjadi jika diberikan  trigger  pada flip-flop tersebut. Triger –nya berupa sinyal logika “1” dan “0” yang continue.


Jenis-jenis FLIP-FLOP ini adalah :
a.    RS FLIP-FLOP dan CLOCKED RS FLIP-FLOP
b.    T FLIP-FLOP
c.    RST FLIP-FLOP
d.    D FLIP-FLOP
e.    JK FLIP-FLOP
Namun yang saya mengambil bahasan yang akan di bahas dalam menulis makalah ini yaitu RS FLIP-FLOP.

1.2  Rumusan Masalah
1.2.1     Apa yang dimaksud dengan RS FLIP-FLOP.
1.2.2     Bagaimana cara kerja RS FLIP-FLOP.

1.3  Tujuan
1.3.1 Tujuan Umum
Adapun tujuan pembuatann makalah ini antara lain untuk memenuhi tugas mata kuliah Sistem Digital.
1.3.2 Tujuan Khusus
a.    Untuk mengetahui pengertian Flip Flop
b.    Untuk mengetahui apa manfaat menggunakan Flip Flop
c.    Untuk mengetahui kasus-kasus yang terjadi di dalam permasalahan Flip Flop












BAB II
PEMBAHASAN

2.1 Pengertian RS FLIP-FLOP
            Flip flop pada computer bukanlah sesuatu yang baru saat ini. Hampir di setiap komponen computer terdapat flip flop untuk memperlanacar arus listrik yang terdapat dalam komponen tersebut. Namun dengan perkembangan zaman yang sudah semakin maju dan populer flip flop juga dapat digunakan dalam kreasi atau suatu komponen tambahan dalam membuat alat dan tamabahan dalam mempermudah arus listrik dengan berpedoman pada gerbang-gerbang logika. Hal ini dapat terjadi karena adanya perkembangan teknologi computer yang sangat pesat, sehingga dalam bebrapa tahun saja banyak orang yang sudah mengetahui pengguanan flip flop dan memanfaatkannya sesuai dengan kebutuhannya.      Flip flop itu sendiri artinya adalah Rangkaian elektronik yang bekerja atas dasar arus listrik dari berbagai gerbang sederhana dari arus listrik yang di hubungkan saling menyilang. Flip flop ini biasanya digunakan untuk percobaan awal dari pengolahan data digital yang dipraktekan pada perangkat elektronik. Flip flop itu sendiri tidak lepas dari protocol. Protocol itu sendiri artinya adalah sekumpulan aturan yang harus ditaati dua stasiun (komputer/terminal) sehingga data dapat dikirimkan dari satu stasiun ke stasiun lainnya. Namun ada penpadat lain yang menyebutkan bila protocol juga berisi aturan-aturan penyesuaian detak penerima, untuk menentukan stasiun mana yang memiliki kendali atas sambungan, untuk mendeteksi kesalahan, dan untuk mengatur aliran data.
Protocol juga membagi pengelompokkan berdasarkan tiga kelompok utama antara lain :
1.    Protocol yang berorientasi karakter
Menggunakan karakter-karakter khusus untuk membedakan segmen bingkai informasi yang berbeda



2.    Protocol byte-count
Menggunakan header yang berisi medan cacah yang menunjukan cacah karakter yang akan datang dan cacah karakter yang telah diterima tanpa kesalahan.
3.    Protocol berorientasi bit
Pada setiap bingkai tersusun atas suatu medan yang terletak antara flag awal dan akhir (masing-masing 8 bit).
Sebuah RS-flipflop adalah elemen memori mungkin sederhana. Hal ini dibangun oleh nilai yang di peroleh output dari dua gerbang NOR kembali ke masukan gerbang NOR yang lain. Input R dan S disebut sebagai RESET dan Set input, masing-masing. Untuk memahami pengoperasian RS-flipflop (atau RS-kait) mempertimbangkan skenario berikut :
    • S = 1 dan R = 0: Output dari gerbang NOR bawah adalah sama dengan nol, Q '= 0.
    • Oleh karena itu kedua input ke puncak gerbang NOR adalah sama dengan satu, dengan demikian, Q = 1.
    • Oleh karena itu, kombinasi input S = 1 dan R = 0 mengarah ke flipflop yang ditetapkan untuk Q = 1.
    • S = 0 dan R = 1: Serupa dengan argumen di atas, output menjadi Q = 0 dan Q '= 1.
    •  Kami mengatakan bahwa flipflop diatur ulang.
    •  S = 0 dan R = 0: Asumsikan flipflop diatur (Q = 0 dan Q '= 1), maka output dari gerbang NOR atas tetap pada Q = 1 dan bagian bawah gerbang NOR tinggal di Q' = 0.
    •  Demikian pula, ketika flipflop berada dalam keadaan reset (Q = 1 dan Q '= 0), itu akan tetap ada dengan kombinasi faktor ini.
    •  Oleh karena itu, dengan masukan S = 0 dan R = 0, flipflop tetap dalam negara.
    • S = 1 dan R = 1: kombinasi input ini harus dihindari.
Sedangkan untuk D-flip flop.

D-Flipflop

Flip flop RS-jarang digunakan dalam logika sekuensial yang sebenarnya. Namun, itu adalah blok bangunan dasar yang sangat berguna untuk D-flipflop. D-flipflop hanya memiliki satu input data. Input data yang terhubung ke input S RS-flip flop, sementara D adalah kebalikan dari tersambung ke input R. Ini mencegah bahwa kombinasi input yang pernah terjadi. Untuk memungkinkan flip flop berada dalam keadaan memegang, D-flip flop memiliki input kedua disebut “Enable”. Enable-input DAN-ed dengan D-masukan, sehingga ketika Enable = 0, R dan S masukan dari flipflop RS-adalah 0 dan negara diadakan. Ketika Aktifkan-input adalah 1, S masukan dari flipflop RS sama dengan D input dan R adalah kebalikan dari D. Oleh karena itu, nilai D menentukan nilai output Q ketika Aktifkan adalah 1. Ketika Aktifkan kembali ke 0, input terbaru D “ingat”.


 FLIP-FLOP RS
Rangkaian Dasar Dari pintu NAND dan NOR
RS NAND latch                  RS NOR latch
Debouncing a pushbutton or switch

 








S-R FLIP-FLOP OUTPUT
Kekurangan:
Outputnya akan berubah dg seketika pada saat sinyal-sinyal masukannya berubah

Tabel Kebenaran :
S
R
Qn+1
0
0
don't care
0
1
1
1
0
0
1
1
Qn

Untuk mengatasi kekurangan S-R F maka Supaya S-RFF outputnya berubah secara bersamaan maka dipakai clock.
Adanya clock output hanya berubah hanya pada saat clock=1

Salah satu aspek yang tepenting di dalam suatu informasi yang akan dikirim pada sebuah sinyal yang ingin dituju harus mengetahui dahulu sinyal apa yang akan dituju. Hal ini terkait dengan siapa dan untuk siapa sinyal itu untuk dikirim.
Sinyal yang akan dikirim harus melalui gerbang-gerbang logika terlebih dahulu agar mengetahui hasil yang akan dicapai. Dan arti dari Digital itu sendiri yaitu Sebuah teknologi yang mengubah sinyal tersebut menjadi sebuah kombinasi urutan bilangan-bilangan 0 dan 1 untuk proses informasi yang mudah, cepat dan akurat. Sinyal tersebut disebut sebuah ”bit”.
Teknologi digital yang memilki beberapa keistimewaan unik yang tidak dapat ditemukan pada teknologi analog yaitu :
1.    Mampu mengirimkan informasi dengan kecepatan cahaya yang membuat informasi dapat dikirim dengan kecepatan tinggi.
2.    Penggunaan yang berulang-ulang terhadap informasi tidak mempengaruhi kualitas dan kuantitas informasi itu sendiri.
3.    Informasi dapat dengan mudah diproses dan di modifikasi ke dalam berbagai bentuk.
4.    Dapat memproses informasi dalam jumlah yang sangat besar dan mengirimkan
1.    SR Flip-flop dari gerbang NOR     

Untuk membuat sebuah SR Flip-flop dari gerbang NOR, dibentuk rangkaian seperti gambar berikut:




SR Flip-flop bisa dirangkai dengan cara lain seperti ditunjukkan pada gambar berikut
2) SR Flip-flop dari gerbang NAND

Untuk membuat sebuah SR Flip-flop dari gerbang NAND, dibentuk rangkaian seperti gambar berikut:
Rangkaian SR Flip-flop yang lain ditunjukkan pada gambarberikut:




2.2  Cara kerja RS FLIP-FLOP
            RS FLIP-FLOP mempunyai dua masukan, yaitu R dan S, dan sebuah masukan clock. Masukan RS akan menentukan keadaan keluaran Q. Masukkan clock akan menentukan kapan keluaran masukan dapat menentukan keadaan keluaran Q. RS FLIP-FLOP (RESET-SET) ini mempunyai beberapa nama lain, di antaranya SR (SET-RESET) dan SC (SET-CLEAR).
            Bagian clock yang mempengaruhi kerja FLIP-FLOP adalah sisi naik atau sisi turunnya clock. Meskipun setiap saat FLIP-FLOP ini akan menanggapi perubahan masukan R dan S, namun keluaran FLIP-FLOP ini hanya akan berubah pada saat terjadinya sisi naik clock.
            Hubungan masukan dan keluaran FLIP-FLOP RS  dapat disajikan pada tabel kebenaran. Tanda panah keatas mengindikasikan bahwa keluaran akan berubah pada saat terjadinya sisi naik clock. Jika kondisi awal RS FLIP-FLOP ditetapkan Q=0, maka sesuai tabel kebenaran maskan S=0 dan R=0 pada saat sisi naik clock tidak akan mengubah logika Q. Sesudah sisi naik clock a, S=1 dan R=0. Namun keadaan ini belum akan menjadikan Q=1 (sesuai tabel kebenaran) hingga terjadinya sisi naik clock c. Selanjutnya, jika S dijadikan 0 dan R=1, keadaan ini juga belum mengubah Q=0 hingga terjadinya sisi naik clock berikutnya, yaitu 0.

Konsep Flip-flop RS yang harus diingat adalah sbb:
a.    R dan S keduanya rendah berarti keluaran y tetap berada pada keadaan terakhirnya secara tak terbatas akibat adanya aksi penggrendelan internal.
b.    Masukan S yang tinggi mengeset keluaran y ke 1, kecuali jika keluaran ini memang telah berada pada keadaan tinggi. Dalam hal ini keluaran tidak berubah, walaupun masukan S kembali ke keadaan rendah.
c.    Masukan R yang tinggi mereset keluaran y ke 0, kecuali jika keluaran ini memang telah rendah. Keluaran y selanjutnya tetap pada keadaan rendah, walaupun masukan R kembali ke keadaan rendah.
d.    Memberikan R dan S keduanya tinggi pada saat yang sama adalah terlarang karena merupakan pertentangan (Kondisi ini mengakibatkan masalah pacu, yang akan dibahas kemudian).



Bentuk FLIP-FLOP
Flip-flop adalah rangkaian yang akan membuat lampu LED menyala dengan pola tertentu. Ini adalah rangkaian skematik lampu flip-flop yang kami buat dalam rangka mengikuti makrab FTJE 08 :










Keterangan :
C1, C2
…………………….
100μF / 10 V
Kapasitor elektrolit (elco)
D1,D3
…………………….

LED merah
D2,D4
…………………….

LED orange
Q1,Q2
…………………….
C828
Transistor
S1
…………………….

Saklar geser / on off
R1, R2
…………………….
56KΩ
Resistor









Alat-alat yang dibutuhkan :
-Solder
-Tenol
-Atraktor
-Tempat batre AA 2 buah & batrenya
-PCB matrik
-Tang potong
-Kabel jumper
-Tang kupas


















BAB III
PENUTUP

3.1 Kesimpulan
kesimpulan yang penulis dapatkan selama membuat makalah ini sebagai berikut:
  1. Penulis dapat mengetahui cara kerja Flip Flop itu sendiri.
  2. Penulis dapat mengetahui fungsi dari Flip Flop itu sendiri.
  3. Penulis mendapat info dengan forum diskusi dari teman yang mengambil jenis Flip Flop yang berbeda.

3.2 Saran-saran
            Saran yang penulis harapkan dari membuat makalah ini adalah :
  1. Penulis mengharapkan dapat di beri saran dalam menulis makalah ini karena masih banyak kekurangan dan kesalahan yang terdapat di dalam makalah ini.
  2. Bila dalam menulis makalah ini masih jauh dari materi penulis mengharapkan bimbingan dan informasi agar dapat berdiskusi untuk menambah ilmu penulis.




           





DAFTAR PUSTAKA

  1. Andrew. S. Tanenbaum, “Jaringan Komputer”, edisi bahasa Indonesia, Prenhalindo, Jakarta, 2000, 1997.
  2. Jack Febrian dan Farida Andayani, “Kamus Komputer dan Istilah Teknologi Informasi, Informatika, 2002, edisi pertama, Bandung.
  3. www.google.com
  4. http://www.play-hookey.com/digital/d_nand_flip-flop.html



.

Tidak ada komentar:

Posting Komentar